Hello community,
here is the log from the commit of package rubygem-rouge for openSUSE:Factory checked in at 2016-11-14 20:13:48
++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Comparing /work/SRC/openSUSE:Factory/rubygem-rouge (Old)
and /work/SRC/openSUSE:Factory/.rubygem-rouge.new (New)
++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Package is "rubygem-rouge"
Changes:
--------
--- /work/SRC/openSUSE:Factory/rubygem-rouge/rubygem-rouge.changes 2016-11-09 11:39:15.000000000 +0100
+++ /work/SRC/openSUSE:Factory/.rubygem-rouge.new/rubygem-rouge.changes 2016-11-14 20:13:52.000000000 +0100
@@ -1,0 +2,6 @@
+Thu Sep 8 04:49:07 UTC 2016 - coolo@suse.com
+
+- updated to version 2.0.6
+ no changelog found
+
+-------------------------------------------------------------------
Old:
----
rouge-2.0.5.gem
New:
----
rouge-2.0.6.gem
++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
Other differences:
------------------
++++++ rubygem-rouge.spec ++++++
--- /var/tmp/diff_new_pack.z7PVg1/_old 2016-11-14 20:13:53.000000000 +0100
+++ /var/tmp/diff_new_pack.z7PVg1/_new 2016-11-14 20:13:53.000000000 +0100
@@ -24,7 +24,7 @@
#
Name: rubygem-rouge
-Version: 2.0.5
+Version: 2.0.6
Release: 0
%define mod_name rouge
%define mod_full_name %{mod_name}-%{version}
++++++ rouge-2.0.5.gem -> rouge-2.0.6.gem ++++++
Files old/checksums.yaml.gz and new/checksums.yaml.gz differ
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/cli.rb new/lib/rouge/cli.rb
--- old/lib/rouge/cli.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/cli.rb 2016-09-07 21:28:21.000000000 +0200
@@ -242,7 +242,7 @@
if opts[:lexer]
@lexer_class = Lexer.find(opts[:lexer]) \
- or error! "unkown lexer #{opts[:lexer].inspect}"
+ or error! "unknown lexer #{opts[:lexer].inspect}"
else
@lexer_name = opts[:lexer]
@mimetype = opts[:mimetype]
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/bsl new/lib/rouge/demos/bsl
--- old/lib/rouge/demos/bsl 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/bsl 2016-09-07 21:28:21.000000000 +0200
@@ -0,0 +1,7 @@
+#Область ПрограммныйИнтерфейс
+
+Процедура ПриветМир() Экспорт
+ Сообщить("Привет мир");
+КонецПроцедуры
+
+#КонецОбласти
\ No newline at end of file
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/jsx new/lib/rouge/demos/jsx
--- old/lib/rouge/demos/jsx 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/jsx 2016-09-07 21:28:21.000000000 +0200
@@ -0,0 +1,17 @@
+var HelloWorld = React.createClass({
+ render: function() {
+ return (
+ <p>
+ Hello, <input type="text" placeholder="Your name here" />!
+ It is {this.props.date.toTimeString()}
+ </p>
+ );
+ }
+});
+
+setInterval(function() {
+ ReactDOM.render(
+ ,
+ document.getElementById('example')
+ );
+}, 500);
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/mxml new/lib/rouge/demos/mxml
--- old/lib/rouge/demos/mxml 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/mxml 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,22 @@
+<?xml version="1.0"?>
+http://ns.adobe.com/mxml/2009"
+ xmlns:s="library://ns.adobe.com/flex/spark"
+ xmlns:mx="library://ns.adobe.com/flex/mx">
+
+ fx:Script
+ <![CDATA[
+ [Bindable]
+ private var buttonLabel:String = "Click me!";
+ private var clicks:int = 0;
+
+ function button_clicked():void {
+ clicks++;
+ buttonLabel = clicks.toString();
+ }
+ ]]>
+
+
+
+
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/prometheus new/lib/rouge/demos/prometheus
--- old/lib/rouge/demos/prometheus 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/prometheus 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,9 @@
+"this is a string"
+'these are unescaped: \n \\ \t'
+`these are not unescaped: \n ' " \t`
+
+http_requests_total{environment=~"staging|testing|development", method!="GET"}
+
+http_requests_total offset 5m
+
+sum(http_requests_total{method="GET"}[10m] offset 5m)
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/turtle new/lib/rouge/demos/turtle
--- old/lib/rouge/demos/turtle 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/turtle 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,26 @@
+@prefix xsd: http://www.w3.org/2001/XMLSchema#
+@prefix dcat: http://www.w3.org/ns/dcat# .
+@prefix dcterms: http://purl.org/dc/terms/ .
+@prefix foaf: http://xmlns.com/foaf/0.1/ .
+@base http://base.of.relative.iris .
+
+PREFIX test: http://example.org
+PrEfIx insensitive: http://insensitive.example.org
+
+GRAPH https://trig.testing.graph {
+ https://example.org/resource/dataset a dcat:Dataset ;
+
+#-----Mandatory-----#
+
+ dcterms:title 'Test title'@cs, "Test title"@en ;
+ dcterms:description """Multiline
+ string"""@cs, '''Another
+ multiline string '''@en ;
+
+#-----Recommended-----#
+ dcat:contactPoint [ a foaf:Person ] ;
+ test:list ( http://ex.org 1 1.1 +1 -1 1.2E+4 "Test" "\"Quote\"" ) ;
+ test:datatype "2016-07-20"^^xsd:date ;
+ test:text """next multiline""";
+ .
+}
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/vala new/lib/rouge/demos/vala
--- old/lib/rouge/demos/vala 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/vala 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,8 @@
+class Demo.HelloWorld : GLib.Object
+{
+ public static int main (String[] args)
+ {
+ stdout.printf("Hello World\n");
+ return 0;
+ }
+}
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/demos/vhdl new/lib/rouge/demos/vhdl
--- old/lib/rouge/demos/vhdl 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/demos/vhdl 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,23 @@
+entity toggle_demo is
+ port (
+ clk_in : in std_logic; -- System Clock
+ data_q : out std_logic -- Toggling Port
+ );
+end entity toggle_demo;
+
+architecture RTL of toggle_demo is
+ signal data : std_logic := '0';
+begin
+
+ data_q <= data;
+
+ data_proc : process (clk_in)
+ begin
+
+ if (rising_edge(clk_in)) then
+ data <= not data;
+ end if;
+
+ end process;
+
+end architecture RTL;
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/actionscript.rb new/lib/rouge/lexers/actionscript.rb
--- old/lib/rouge/lexers/actionscript.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/lexers/actionscript.rb 2016-09-07 21:28:22.000000000 +0200
@@ -146,9 +146,9 @@
end
end
- rule /\-[0-9][0-9]*\.[0-9]+([eE][0-9]+)?[fd]?/, Num::Float
+ rule /\-?[0-9][0-9]*\.[0-9]+([eE][0-9]+)?[fd]?/, Num::Float
rule /0x[0-9a-fA-F]+/, Num::Hex
- rule /\-[0-9]+/, Num::Integer
+ rule /\-?[0-9]+/, Num::Integer
rule /"(\\\\|\\"|[^"])*"/, Str::Double
rule /'(\\\\|\\'|[^'])*'/, Str::Single
end
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/biml.rb new/lib/rouge/lexers/biml.rb
--- old/lib/rouge/lexers/biml.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/lexers/biml.rb 2016-09-07 21:28:22.000000000 +0200
@@ -1,35 +1,35 @@
module Rouge
module Lexers
load_lexer 'xml.rb'
-
+
class BIML < XML
title "BIML"
desc "BIML, Business Intelligence Markup Language"
tag 'biml'
filenames '*.biml'
-
+
def self.analyze_text(text)
return 1 if text =~ /<\s*Biml\b/
end
-
+
prepend :root do
- rule %r(<#@\s*)m, Name::Tag, :directive_tag
-
+ rule %r(<#\@\s*)m, Name::Tag, :directive_tag
+
rule %r(<#[=]?\s*)m, Name::Tag, :directive_as_csharp
end
-
+
prepend :attr do
#TODO: how to deal with embedded <# tags inside a attribute string
#rule %r("<#[=]?\s*)m, Name::Tag, :directive_as_csharp
end
-
+
state :directive_as_csharp do
- rule /\s*#>\s*/m, Name::Tag, :pop!
+ rule /\s*#>\s*/m, Name::Tag, :pop!
rule %r(.*?(?=\s*#>\s*))m do
delegate CSharp
end
end
-
+
state :directive_tag do
rule /\s+/m, Text
rule /[\w.:-]+\s*=/m, Name::Attribute, :attr
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/bsl.rb new/lib/rouge/lexers/bsl.rb
--- old/lib/rouge/lexers/bsl.rb 1970-01-01 01:00:00.000000000 +0100
+++ new/lib/rouge/lexers/bsl.rb 2016-09-07 21:28:22.000000000 +0200
@@ -0,0 +1,81 @@
+# -*- coding: utf-8 -*- #
+
+module Rouge
+ module Lexers
+ class Bsl < RegexLexer
+ title "1C (BSL)"
+ desc "The 1C:Enterprise programming language"
+ tag 'bsl'
+ filenames '*.bsl', '*.os'
+
+ KEYWORDS = /(?<=[^\wа-яё]|^)(?:
+ КонецПроцедуры | EndProcedure | КонецФункции | EndFunction
+ | Прервать | Break | Продолжить | Continue
+ | Возврат | Return | Если | If
+ | Иначе | Else | ИначеЕсли | ElsIf
+ | Тогда | Then | КонецЕсли | EndIf
+ | Попытка | Try | Исключение | Except
+ | КонецПопытки | EndTry | Raise | ВызватьИсключение
+ | Пока | While | Для | For
+ | Каждого | Each | Из | In
+ | По | To | Цикл | Do
+ | КонецЦикла | EndDo | НЕ | NOT
+ | И | AND | ИЛИ | OR
+ | Новый | New | Процедура | Procedure
+ | Функция | Function | Перем | Var
+ | Экспорт | Export | Знач | Val
+ )(?=[^\wа-яё]|$)/ix
+
+ BUILTINS = /(?<=[^\wа-яё]|^)(?:
+ СтрДлина|StrLen|СокрЛ|TrimL|СокрП|TrimR|СокрЛП|TrimAll|Лев|Left|Прав|Right|Сред|Mid|СтрНайти|StrFind|ВРег|Upper|НРег|Lower|ТРег|Title|Символ|Char|КодСимвола|CharCode|ПустаяСтрока|IsBlankString|СтрЗаменить|StrReplace|СтрЧислоСтрок|StrLineCount|СтрПолучитьСтроку|StrGetLine|СтрЧислоВхождений|StrOccurrenceCount|СтрСравнить|StrCompare|СтрНачинаетсяС|StrStartWith|СтрЗаканчиваетсяНа|StrEndsWith|СтрРазделить|StrSplit|СтрСоединить|StrConcat
+ | Цел|Int|Окр|Round|ACos|ACos|ASin|ASin|ATan|ATan|Cos|Cos|Exp|Exp|Log|Log|Log10|Log10|Pow|Pow|Sin|Sin|Sqrt|Sqrt|Tan|Tan
+ | Год|Year|Месяц|Month|День|Day|Час|Hour|Минута|Minute|Секунда|Second|НачалоГода|BegOfYear|НачалоДня|BegOfDay|НачалоКвартала|BegOfQuarter|НачалоМесяца|BegOfMonth|НачалоМинуты|BegOfMinute|НачалоНедели|BegOfWeek|НачалоЧаса|BegOfHour|КонецГода|EndOfYear|КонецДня|EndOfDay|КонецКвартала|EndOfQuarter|КонецМесяца|EndOfMonth|КонецМинуты|EndOfMinute|КонецНедели|EndOfWeek|КонецЧаса|EndOfHour|НеделяГода|WeekOfYear|ДеньГода|DayOfYear|ДеньНедели|WeekDay|ТекущаяДата|CurrentDate|ДобавитьМесяц|AddMonth
+ | Тип|Type|ТипЗнч|TypeOf
+ | Булево|Boolean|Число|Number|Строка|String|Дата|Date
+ | ПоказатьВопрос|ShowQueryBox|Вопрос|DoQueryBox|ПоказатьПредупреждение|ShowMessageBox|Предупреждение|DoMessageBox|Сообщить|Message|ОчиститьСообщения|ClearMessages|ОповеститьОбИзменении|NotifyChanged|Состояние|Status|Сигнал|Beep|ПоказатьЗначение|ShowValue|ОткрытьЗначение|OpenValue|Оповестить|Notify|ОбработкаПрерыванияПользователя|UserInterruptProcessing|ОткрытьСодержаниеСправки|OpenHelpContent|ОткрытьИндексСправки|OpenHelpIndex|ОткрытьСправку|OpenHelp|ПоказатьИнформациюОбОшибке|ShowErrorInfo|КраткоеПредставлениеОшибки|BriefErrorDescription|ПодробноеПредставлениеОшибки|DetailErrorDescription|ПолучитьФорму|GetForm|ЗакрытьСправку|CloseHelp|ПоказатьОповещениеПользователя|ShowUserNotification|ОткрытьФорму|OpenForm|ОткрытьФормуМодально|OpenFormModal|АктивноеОкно|ActiveWindow|ВыполнитьОбработкуОповещения|ExecuteNotifyProcessing
+ | ПоказатьВводЗначения|ShowInputValue|ВвестиЗначение|InputValue|ПоказатьВводЧисла|ShowInputNumber|ВвестиЧисло|InputNumber|ПоказатьВводСтроки|ShowInputString|ВвестиСтроку|InputString|ПоказатьВводДаты|ShowInputDate|ВвестиДату|InputDate
+ | Формат|Format|ЧислоПрописью|NumberInWords|НСтр|NStr|ПредставлениеПериода|PeriodPresentation|СтрШаблон|StrTemplate
+ | ПолучитьОбщийМакет|GetCommonTemplate|ПолучитьОбщуюФорму|GetCommonForm|ПредопределенноеЗначение|PredefinedValue|ПолучитьПолноеИмяПредопределенногоЗначения|GetPredefinedValueFullName
+ | ПолучитьЗаголовокСистемы|GetCaption|ПолучитьСкоростьКлиентскогоСоединения|GetClientConnectionSpeed|ПодключитьОбработчикОжидания|AttachIdleHandler|УстановитьЗаголовокСистемы|SetCaption|ОтключитьОбработчикОжидания|DetachIdleHandler|ИмяКомпьютера|ComputerName|ЗавершитьРаботуСистемы|Exit|ИмяПользователя|UserName|ПрекратитьРаботуСистемы|Terminate|ПолноеИмяПользователя|UserFullName|ЗаблокироватьРаботуПользователя|LockApplication|КаталогПрограммы|BinDir|КаталогВременныхФайлов|TempFilesDir|ПравоДоступа|AccessRight|РольДоступна|IsInRole|ТекущийЯзык|CurrentLanguage|ТекущийКодЛокализации|CurrentLocaleCode|СтрокаСоединенияИнформационнойБазы|InfoBaseConnectionString|ПодключитьОбработчикОповещения|AttachNotificationHandler|ОтключитьОбработчикОповещения|DetachNotificationHandler|ПолучитьСообщенияПользователю|GetUserMessages|ПараметрыДоступа|AccessParameters|ПредставлениеПриложения|ApplicationPresentation|ТекущийЯзыкСистемы|CurrentSystemLanguage|ЗапуститьСистему|RunSystem|ТекущийРежимЗапуска|CurrentRunMode|УстановитьЧасовойПоясСеанса|SetSessionTimeZone|ЧасовойПоясСеанса|SessionTimeZone|ТекущаяДатаСеанса|CurrentSessionDate|УстановитьКраткийЗаголовокПриложения|SetShortApplicationCaption|ПолучитьКраткийЗаголовокПриложения|GetShortApplicationCaption|ПредставлениеПрава|RightPresentation|ВыполнитьПроверкуПравДоступа|VerifyAccessRights|РабочийКаталогДанныхПользователя|UserDataWorkDir|КаталогДокументов|DocumentsDir|ПолучитьИнформациюЭкрановКлиента|GetClientDisplaysInformation|ТекущийВариантОсновногоШрифтаКлиентскогоПриложения|ClientApplicationBaseFontCurrentVariant|ТекущийВариантИнтерфейсаКлиентскогоПриложения|ClientApplicationInterfaceCurrentVariant|УстановитьЗаголовокКлиентскогоПриложения|SetClientApplicationCaption|ПолучитьЗаголовокКлиентскогоПриложения|GetClientApplicationCaption|НачатьПолучениеКаталогаВременныхФайлов|BeginGettingTempFilesDir|НачатьПолучениеКаталогаДокументов|BeginGettingDocumentsDir|НачатьПолучениеРабочегоКаталогаДанныхПользователя|BeginGettingUserDataWorkDir|ПодключитьОбработчикЗапросаНастроекКлиентаЛицензирования|AttachLicensingClientParametersRequestHandler|ОтключитьОбработчикЗапросаНастроекКлиентаЛицензирования|DetachLicensingClientParametersRequestHandler
+ | ЗначениеВСтрокуВнутр|ValueToStringInternal|ЗначениеИзСтрокиВнутр|ValueFromStringInternal|ЗначениеВФайл|ValueToFile|ЗначениеИзФайла|ValueFromFile
+ | КомандаСистемы|System|ЗапуститьПриложение|RunApp|ПолучитьCOMОбъект|GetCOMObject|ПользователиОС|OSUsers|НачатьЗапускПриложения|BeginRunningApplication
+ | ПодключитьВнешнююКомпоненту|AttachAddIn|НачатьУстановкуВнешнейКомпоненты|BeginInstallAddIn|УстановитьВнешнююКомпоненту|InstallAddIn|НачатьПодключениеВнешнейКомпоненты|BeginAttachingAddIn
+ | КопироватьФайл|FileCopy|ПереместитьФайл|MoveFile|УдалитьФайлы|DeleteFiles|НайтиФайлы|FindFiles|СоздатьКаталог|CreateDirectory|ПолучитьИмяВременногоФайла|GetTempFileName|РазделитьФайл|SplitFile|ОбъединитьФайлы|MergeFiles|ПолучитьФайл|GetFile|НачатьПомещениеФайла|BeginPutFile|ПоместитьФайл|PutFile|ЭтоАдресВременногоХранилища|IsTempStorageURL|УдалитьИзВременногоХранилища|DeleteFromTempStorage|ПолучитьИзВременногоХранилища|GetFromTempStorage|ПоместитьВоВременноеХранилище|PutToTempStorage|ПодключитьРасширениеРаботыСФайлами|AttachFileSystemExtension|НачатьУстановкуРасширенияРаботыСФайлами|BeginInstallFileSystemExtension|УстановитьРасширениеРаботыСФайлами|InstallFileSystemExtension|ПолучитьФайлы|GetFiles|ПоместитьФайлы|PutFiles|ЗапроситьРазрешениеПользователя|RequestUserPermission|ПолучитьМаскуВсеФайлы|GetAllFilesMask|ПолучитьМаскуВсеФайлыКлиента|GetClientAllFilesMask|ПолучитьМаскуВсеФайлыСервера|GetServerAllFilesMask|ПолучитьРазделительПути|GetPathSeparator|ПолучитьРазделительПутиКлиента|GetClientPathSeparator|ПолучитьРазделительПутиСервера|GetServerPathSeparator|НачатьПодключениеРасширенияРаботыСФайлами|BeginAttachingFileSystemExtension|НачатьЗапросРазрешенияПользователя|BeginRequestingUserPermission|НачатьПоискФайлов|BeginFindingFiles|НачатьСозданиеКаталога|BeginCreatingDirectory|НачатьКопированиеФайла|BeginCopyingFile|НачатьПеремещениеФайла|BeginMovingFile|НачатьУдалениеФайлов|BeginDeletingFiles|НачатьПолучениеФайлов|BeginGettingFiles|НачатьПомещениеФайлов|BeginPuttingFiles
+ | НачатьТранзакцию|BeginTransaction|ЗафиксироватьТранзакцию|CommitTransaction|ОтменитьТранзакцию|RollbackTransaction|УстановитьМонопольныйРежим|SetExclusiveMode|МонопольныйРежим|ExclusiveMode|ПолучитьОперативнуюОтметкуВремени|GetRealTimeTimestamp|ПолучитьСоединенияИнформационнойБазы|GetInfoBaseConnections|НомерСоединенияИнформационнойБазы|InfoBaseConnectionNumber|КонфигурацияИзменена|ConfigurationChanged|КонфигурацияБазыДанныхИзмененаДинамически|DataBaseConfigurationChangedDynamically|УстановитьВремяОжиданияБлокировкиДанных|SetLockWaitTime|ОбновитьНумерациюОбъектов|RefreshObjectsNumbering|ПолучитьВремяОжиданияБлокировкиДанных|GetLockWaitTime|КодЛокализацииИнформационнойБазы|InfoBaseLocaleCode|УстановитьМинимальнуюДлинуПаролейПользователей|SetUserPasswordMinLength|ПолучитьМинимальнуюДлинуПаролейПользователей|GetUserPasswordMinLength|ИнициализироватьПредопределенныеДанные|InitializePredefinedData|УдалитьДанныеИнформационнойБазы|EraseInfoBaseData|УстановитьПроверкуСложностиПаролейПользователей|SetUserPasswordStrengthCheck|ПолучитьПроверкуСложностиПаролейПользователей|GetUserPasswordStrengthCheck|ПолучитьСтруктуруХраненияБазыДанных|GetDBStorageStructureInfo|УстановитьПривилегированныйРежим|SetPrivilegedMode|ПривилегированныйРежим|PrivilegedMode|ТранзакцияАктивна|TransactionActive|НеобходимостьЗавершенияСоединения|ConnectionStopRequest|НомерСеансаИнформационнойБазы|InfoBaseSessionNumber|ПолучитьСеансыИнформационнойБазы|GetInfoBaseSessions|ЗаблокироватьДанныеДляРедактирования|LockDataForEdit|УстановитьСоединениеСВнешнимИсточникомДанных|ConnectExternalDataSource|РазблокироватьДанныеДляРедактирования|UnlockDataForEdit|РазорватьСоединениеСВнешнимИсточникомДанных|DisconnectExternalDataSource|ПолучитьБлокировкуСеансов|GetSessionsLock|УстановитьБлокировкуСеансов|SetSessionsLock|ОбновитьПовторноИспользуемыеЗначения|RefreshReusableValues|УстановитьБезопасныйРежим|SetSafeMode|БезопасныйРежим|SafeMode|ПолучитьДанныеВыбора|GetChoiceData|УстановитьЧасовойПоясИнформационнойБазы|SetInfoBaseTimeZone|ПолучитьЧасовойПоясИнформационнойБазы|GetInfoBaseTimeZone|ПолучитьОбновлениеКонфигурацииБазыДанных|GetDataBaseConfigurationUpdate|УстановитьБезопасныйРежимРазделенияДанных|SetDataSeparationSafeMode|БезопасныйРежимРазделенияДанных|DataSeparationSafeMode|УстановитьВремяЗасыпанияПассивногоСеанса|SetPassiveSessionHibernateTime|ПолучитьВремяЗасыпанияПассивногоСеанса|GetPassiveSessionHibernateTime|УстановитьВремяЗавершенияСпящегоСеанса|SetHibernateSessionTerminateTime|ПолучитьВремяЗавершенияСпящегоСеанса|GetHibernateSessionTerminateTime|ПолучитьТекущийСеансИнформационнойБазы|GetCurrentInfoBaseSession|ПолучитьИдентификаторКонфигурации|GetConfigurationID|УстановитьНастройкиКлиентаЛицензирования|SetLicensingClientParameters|ПолучитьИмяКлиентаЛицензирования|GetLicensingClientName|ПолучитьДополнительныйПараметрКлиентаЛицензирования|GetLicensingClientAdditionalParameter
+ | НайтиПомеченныеНаУдаление|FindMarkedForDeletion|НайтиПоСсылкам|FindByRef|УдалитьОбъекты|DeleteObjects|УстановитьОбновлениеПредопределенныхДанныхИнформационнойБазы|SetInfoBasePredefinedDataUpdate|ПолучитьОбновлениеПредопределенныхДанныхИнформационнойБазы|GetInfoBasePredefinedData
+ | XMLСтрока|XMLString|XMLЗначение|XMLValue|XMLТип|XMLType|XMLТипЗнч|XMLTypeOf|ИзXMLТипа|FromXMLType|ВозможностьЧтенияXML|CanReadXML|ПолучитьXMLТип|GetXMLType|ПрочитатьXML|ReadXML|ЗаписатьXML|WriteXML|НайтиНедопустимыеСимволыXML|FindDisallowedXMLCharacters|ИмпортМоделиXDTO|ImportXDTOModel|СоздатьФабрикуXDTO|CreateXDTOFactory
+ | ЗаписатьJSON|WriteJSON|ПрочитатьJSON|ReadJSON|ПрочитатьДатуJSON|ReadJSONDate|ЗаписатьДатуJSON|WriteJSONDate
+ | ЗаписьЖурналаРегистрации|WriteLogEvent|ПолучитьИспользованиеЖурналаРегистрации|GetEventLogUsing|УстановитьИспользованиеЖурналаРегистрации|SetEventLogUsing|ПредставлениеСобытияЖурналаРегистрации|EventLogEventPresentation|ВыгрузитьЖурналРегистрации|UnloadEventLog|ПолучитьЗначенияОтбораЖурналаРегистрации|GetEventLogFilterValues|УстановитьИспользованиеСобытияЖурналаРегистрации|SetEventLogEventUse|ПолучитьИспользованиеСобытияЖурналаРегистрации|GetEventLogEventUse|СкопироватьЖурналРегистрации|CopyEventLog|ОчиститьЖурналРегистрации|ClearEventLog
+ | ЗначениеВДанныеФормы|ValueToFormData|ДанныеФормыВЗначение|FormDataToValue|КопироватьДанныеФормы|CopyFormData|УстановитьСоответствиеОбъектаИФормы|SetObjectAndFormConformity|ПолучитьСоответствиеОбъектаИФормы|GetObjectAndFormConformity
+ | ПолучитьФункциональнуюОпцию|GetFunctionalOption|ПолучитьФункциональнуюОпциюИнтерфейса|GetInterfaceFunctionalOption|УстановитьПараметрыФункциональныхОпцийИнтерфейса|SetInterfaceFunctionalOptionParameters|ПолучитьПараметрыФункциональныхОпцийИнтерфейса|GetInterfaceFunctionalOptionParameters|ОбновитьИнтерфейс|RefreshInterface
+ | УстановитьРасширениеРаботыСКриптографией|InstallCryptoExtension|НачатьУстановкуРасширенияРаботыСКриптографией|BeginInstallCryptoExtension|ПодключитьРасширениеРаботыСКриптографией|AttachCryptoExtension|НачатьПодключениеРасширенияРаботыСКриптографией|BeginAttachingCryptoExtension
+ | УстановитьСоставСтандартногоИнтерфейсаOData|SetStandardODataInterfaceContent|ПолучитьСоставСтандартногоИнтерфейсаOData|GetStandardODataInterfaceContent
+ | Мин|Min|Макс|Max|ОписаниеОшибки|ErrorDescription|Вычислить|Eval|ИнформацияОбОшибке|ErrorInfo|Base64Значение|Base64Value|Base64Строка|Base64String|ЗаполнитьЗначенияСвойств|FillPropertyValues|ЗначениеЗаполнено|ValueIsFilled|ПолучитьПредставленияНавигационныхСсылок|GetURLsPresentations|НайтиОкноПоНавигационнойСсылке|FindWindowByURL|ПолучитьОкна|GetWindows|ПерейтиПоНавигационнойСсылке|GotoURL|ПолучитьНавигационнуюСсылку|GetURL|ПолучитьДопустимыеКодыЛокализации|GetAvailableLocaleCodes|ПолучитьНавигационнуюСсылкуИнформационнойБазы|GetInfoBaseURL|ПредставлениеКодаЛокализации|LocaleCodePresentation|ПолучитьДопустимыеЧасовыеПояса|GetAvailableTimeZones|ПредставлениеЧасовогоПояса|TimeZonePresentation|ТекущаяУниверсальнаяДата|CurrentUniversalDate|ТекущаяУниверсальнаяДатаВМиллисекундах|CurrentUniversalDateInMilliseconds|МестноеВремя|ToLocalTime|УниверсальноеВремя|ToUniversalTime|ЧасовойПояс|TimeZone|СмещениеЛетнегоВремени|DaylightTimeOffset|СмещениеСтандартногоВремени|StandardTimeOffset|КодироватьСтроку|EncodeString|РаскодироватьСтроку|DecodeString|Найти|Find
+ | ПередНачаломРаботыСистемы|BeforeStart|ПриНачалеРаботыСистемы|OnStart|ПередЗавершениемРаботыСистемы|BeforeExit|ПриЗавершенииРаботыСистемы|OnExit|ОбработкаВнешнегоСобытия|ExternEventProcessing|УстановкаПараметровСеанса|SessionParametersSetting|ПриИзмененииПараметровЭкрана|OnChangeDisplaySettings
+ | WSСсылки|WSReferences|БиблиотекаКартинок|PictureLib|БиблиотекаМакетовОформленияКомпоновкиДанных|DataCompositionAppearanceTemplateLib|БиблиотекаСтилей|StyleLib|БизнесПроцессы|BusinessProcesses|ВнешниеИсточникиДанных|ExternalDataSources|ВнешниеОбработки|ExternalDataProcessors|ВнешниеОтчеты|ExternalReports|Документы|Documents|ДоставляемыеУведомления|DeliverableNotifications|ЖурналыДокументов|DocumentJournals|Задачи|Tasks|ИспользованиеРабочейДаты|WorkingDateUse|ИсторияРаботыПользователя|UserWorkHistory|Константы|Constants|КритерииОтбора|FilterCriteria|Метаданные|Metadata|Обработки|DataProcessors|ОтправкаДоставляемыхУведомлений|DeliverableNotificationSend|Отчеты|Reports|ПараметрыСеанса|SessionParameters|Перечисления|Enums|ПланыВидовРасчета|ChartsOfCalculationTypes|ПланыВидовХарактеристик|ChartsOfCharacteristicTypes|ПланыОбмена|ExchangePlans|ПланыСчетов|ChartsOfAccounts|ПолнотекстовыйПоиск|FullTextSearch|ПользователиИнформационнойБазы|InfoBaseUsers|Последовательности|Sequences|РасширенияКонфигурации|ConfigurationExtensions|РегистрыБухгалтерии|AccountingRegisters|РегистрыНакопления|AccumulationRegisters|РегистрыРасчета|CalculationRegisters|РегистрыСведений|InformationRegisters|РегламентныеЗадания|ScheduledJobs|СериализаторXDTO|XDTOSerializer|Справочники|Catalogs|СредстваГеопозиционирования|LocationTools|СредстваКриптографии|CryptoToolsManager|СредстваМультимедиа|MultimediaTools|СредстваПочты|MailTools|СредстваТелефонии|TelephonyTools|ФабрикаXDTO|XDTOFactory|ФоновыеЗадания|BackgroundJobs|ХранилищаНастроек
+ | ГлавныйИнтерфейс|MainInterface|ГлавныйСтиль|MainStyle|ПараметрЗапуска|LaunchParameter|РабочаяДата|WorkingDate|SettingsStorages|ХранилищеВариантовОтчетов|ReportsVariantsStorage|ХранилищеНастроекДанныхФорм|FormDataSettingsStorage|ХранилищеОбщихНастроек|CommonSettingsStorage|ХранилищеПользовательскихНастроекДинамическихСписков|DynamicListsUserSettingsStorage|ХранилищеПользовательскихНастроекОтчетов|ReportsUserSettingsStorage|ХранилищеСистемныхНастроек|SystemSettingsStorage
+ | Если|If|ИначеЕсли|ElsIf|Иначе|Else|КонецЕсли|EndIf|Тогда|Then
+ | Неопределено|Undefined|Истина|True|Ложь|False|NULL
+ )\s*(?=\()/ix
+
+ state :root do
+ rule /\n/, Text
+ rule /[^\S\n]+/, Text
+ rule /\/\/.*$/, Comment::Single
+ rule /[\[\]:(),;]/, Punctuation
+ rule /(?<=[^\wа-яё]|^)\&.*$/, Keyword::Declaration
+ rule /[-+\/=<>*%=<>.?&]/, Operator
+ rule /(?<=[^\wа-яё]|^)\#.*$/, Keyword::Declaration
+ rule KEYWORDS, Keyword
+ rule BUILTINS, Name::Builtin
+ rule /[\wа-яё_][\wа-яё0-9_]*/i, Name::Variable
+
+ #literals
+ rule /\b((\h{8}-(\h{4}-){3}\h{12})|\d+\.?\d*)\b/, Literal::Number
+ rule /\'.*\'/, Literal::Date
+ rule /".*?("|$)/, Literal::String::Single
+ rule /(?<=[^\wа-яё]|^)\|((?!\"\").)*?(\"|$)/, Literal::String
+ end
+ end
+ end
+end
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/common_lisp.rb new/lib/rouge/lexers/common_lisp.rb
--- old/lib/rouge/lexers/common_lisp.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/lexers/common_lisp.rb 2016-09-07 21:28:22.000000000 +0200
@@ -8,7 +8,7 @@
tag 'common_lisp'
aliases 'cl', 'common-lisp', 'elisp', 'emacs-lisp'
- filenames '*.cl', '*.lisp', '*.el' # used for Elisp too
+ filenames '*.cl', '*.lisp', '*.asd', '*.el' # used for Elisp too
mimetypes 'text/x-common-lisp'
# 638 functions
@@ -153,7 +153,7 @@
declaim defclass defconstant defgeneric define-compiler-macro
define-condition define-method-combination define-modify-macro
define-setf-expander define-symbol-macro defmacro defmethod
- defpackage defparameter defsetf defstruct deftype defun defvar
+ defpackage defparameter defsetf defstruct defsystem deftype defun defvar
destructuring-bind do do* do-all-symbols do-external-symbols
dolist do-symbols dotimes ecase etypecase formatter
handler-bind handler-case ignore-errors incf in-package
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/fortran.rb new/lib/rouge/lexers/fortran.rb
--- old/lib/rouge/lexers/fortran.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/lexers/fortran.rb 2016-09-07 21:28:22.000000000 +0200
@@ -16,7 +16,7 @@
name = /[A-Z][_A-Z0-9]*/i
kind_param = /(\d+|#{name})/
- exponent = /[ED][+-]\d+/
+ exponent = /[ED][+-]?\d+/i
def self.keywords
# Fortran allows to omit whitespace between certain keywords...
diff -urN '--exclude=CVS' '--exclude=.cvsignore' '--exclude=.svn' '--exclude=.svnignore' old/lib/rouge/lexers/javascript.rb new/lib/rouge/lexers/javascript.rb
--- old/lib/rouge/lexers/javascript.rb 2016-07-19 19:35:54.000000000 +0200
+++ new/lib/rouge/lexers/javascript.rb 2016-09-07 21:28:22.000000000 +0200
@@ -24,11 +24,17 @@
# TODO: rhino, spidermonkey, etc
end
+ state :multiline_comment do
+ rule %r([*]/), Comment::Multiline, :pop!
+ rule %r([^*/]+), Comment::Multiline
+ rule %r([*/]), Comment::Multiline
+ end
+
state :comments_and_whitespace do
rule /\s+/, Text
rule /
Reply